帳號:
密碼:
最新動態
產業快訊
CTIMES/SmartAuto / 新聞 /
新思科技與台積電合作完成16FFC製程的Custom Compiler認證
 

【CTIMES/SmartAuto 編輯部 報導】   2016年11月02日 星期三

瀏覽人次:【11360】

雙方合作內容包括強化的可靠度模擬支援以及可應用於汽車的electromigration-aware enablement,獲台積公司16FFC認證的包含Galaxy設計平台的客製、數位及簽核工具。

新思科技的客製、數位及簽核工具獲台積公司16FFC製程認證
新思科技的客製、數位及簽核工具獲台積公司16FFC製程認證

新思科技近日宣布,已與台積公司合作完成其16奈米FFC (FinFET Compact)製程上之Galaxy設計平台的數位(digital)、客製(custom)及簽核(signoff)工具套件的認證,今後新思科技的Custom Compiler解決方案可透過iPDK標準來支援台積公司16FFC製程PDKs。而由於目前有多項採用台積公司16FFC製程之設計方案的生產皆已在進行中,該工具的認證將協助雙方共同客戶降低成本,並提升使用台積公司FinFET製程技術進行設計的可靠度(reliability)。

隨著FinFET技術的拓展以及汽車設計應用功能日漸增加,設計的電流密度(current densities)也變得越來越高,因此設計中有越來越多的線路易受到諸如孔洞(void)及短路等電遷移(EM)效應的影響。此外,FinFET技術的溫度曲線(thermal profile)會影響周遭金屬互連(metal interconnect)的溫度,也就是所謂的self-heating effect (SHE),而這會逐漸影響EM失效的機率。為了因應這些挑戰,台積公司強化了電流模擬模型(circuit simulation models),以評估熱載子注入(hot-carrier injection ,HCI)及偏壓溫度不穩定性(bias-temperature instability,BTI)等SHE對裝置可靠度機制所造成的影響。新思科技以最新版的HSPICE, CustomSim及FineSim電流模擬器(circuit simulators)版本支援這些模型,協助設計人員針對電流效能衰退(circuit performance degradation)建立模型,有助於提升車用設計的可靠度。

為了支援台積公司16FFC製程,新思Galaxy平台的數位、客製及簽核工具套件可處理強化的設計規則並達到行動、物聯網及汽車等相關應用對於可靠度的要求。經認證的工具提供繞線規則(routing rule)、物理驗證程序執行檔(physical verification runset)、簽核準確(signoff-accurate)的析出(extraction)技術檔案、與SPICE相關的統計時序分析(statistical timing analysis),以及針對16FFC製程的可交互操作製程設計套件(interoperable process design kits;iPDKs)等。

新思科技設計事業群產品行銷副總裁Bijan Kiani表示,這項針對提升車用設計可靠度而進行的共同開發並順利獲台積公司16FFC製程的認證,代表新思科技與台積公司長久的合作關係邁向另一個里程碑; 而客製、數位及簽核流程的認證讓雙方共同的客戶能夠降低成本,並針對車用、物聯網及行動應用的創新設計提高可靠度。

台積公司設計基礎架構行銷事業部資深協理Suk Lee表示,由於多年來與新思科技的合作經驗,使雙方再次能共同針對車用ADAS及車載娛樂系統等應用,合作提升設計的可信賴度; 此外,就雙方共同的客戶設計團隊而言,採用的Galaxy設計平台通過台積公司16FFC製程的驗證,代表可於我們16FFC製程上使用該設計平台,來開發下世代的設計方案。

認證的主要工具

新思科技通過台積公司16FFC製程認證的主要工具包括:

‧IC 編譯器II布局繞線解決方案

‧IC Validator簽核實體驗證

‧StarRC析出工具

‧PrimeTime時序簽核解決方案

‧Custom Compiler客製設計解決方案

‧PrimeRail及CustomSim可靠度分析

‧NanoTime客製時序分析

‧HSPICE、CustomSim 及FineSim模擬

關鍵字: 16FFC製程  可靠度  FinFET  製程技術  新思科技  台積  EDA  測試系統與研發工具 
相關新聞
新思科技與台積電合作 在N3製程上運用從探索到簽核的一元化平台
新思科技針對台積電N5A製程技術 推出車用級IP產品組合
新思科技在越南成立IC設計人才中心 培育在地半導體發展
新思科技利用全端大數據分析 擴充Synopsys.ai電子設計自動化套件
新思科技針對台積電3奈米製程 運用廣泛IP產品組合加速先進晶片設計
comments powered by Disqus
相關討論
  相關文章
» 開啟邊緣智能新時代 ST引領AI開發潮流
» ST以MCU創新應用潮流 打造多元解決方案
» ST開啟再生能源革命 攜手自然迎接能源挑戰
» ST引領智慧出行革命 技術創新開啟汽車新紀元
» ST:精準度只是標配 感測器需執行簡單運算的智慧功能


刊登廣告 新聞信箱 讀者信箱 著作權聲明 隱私權聲明 本站介紹

Copyright ©1999-2024 遠播資訊股份有限公司版權所有 Powered by O3  v3.20.2048.3.137.218.215
地址:台北數位產業園區(digiBlock Taipei) 103台北市大同區承德路三段287-2號A棟204室
電話 (02)2585-5526 #0 轉接至總機 /  E-Mail: webmaster@ctimes.com.tw