账号:
密码:
最新动态
产业快讯
CTIMES/SmartAuto / 新闻 /
新思客制化设计 缩短FinFET设计流程
 

【CTIMES / SMARTAUTO ABC_1 报导】    2016年04月19日 星期二

浏览人次:【6446】

新思科技(Synopsys)发表客制化设计解决方案Custom Compiler,可有效应用在FinFET制程技术,让客制化设计流程从数天缩短至数小时以提高产能。

新思科技全新自动化视觉辅助 技术,让FinFET布局的产能更上层楼
新思科技全新自动化视觉辅助 技术,让FinFET布局的产能更上层楼

新思科技以全新自动化视觉辅助(visually-assisted automation) 技术因应客制化设计的挑战,不但能加速设计流程,同时能减少反覆设计并增加重复利用率为了,让FinFET布局(layout)的产能更上层楼。而藉由与业界领先的客户紧密合作,全新开发的Custom Compiler目前已能运用于晶圆领导厂商的最先进制程节点量产,并支援FinFET制程技术。

新思科技执行副总裁暨设计事业群总经理Antun Domic表示:「随着SoC设计复杂度与日俱增,现有的客制化设计工具已不敷使用,更徨论要因应FinFET制程复杂的设计规则所带来的挑战;Custom Compiler创新的辅助工具将能协助设计人员应付FinFET设计中困难的布局挑战,同时大幅提升产能。」

Custom Compiler辅助(Custom Compiler Assistant)是一套有助于提升产能的工具,运用布局设计人员所熟悉的图像使用模式(graphical use model),以减少编写复杂程式码及限制条件(constraints)。藉由Custom Compiler,无须额外设定便能自动执行例行性及重复性的任务;以下是Custom Complier提供的四种辅助工具:布局(Layout)辅助、设计中(In-Design)辅助、范本(Template )辅助以及协同设计(Co-Design)辅助。

新思科技执行副总裁暨解决方案事业群总经理Joachim Kunkel说,新思科技的团队在晶圆制造开发初期便已经接触到FinFET相关的设计挑战。我们看到从标准单元(standard cell) 到高效能SerDes等各式IP开发计画都需大量投入心力于布局规划,于是要求Custom Compiler开发团队专注于改善FinFET布局的产能。 Custom Compiler的布线辅助功能,让我们能实际执行新颖的布局方法论,将许多布局任务的执行时间从数天缩短至数小时。

關鍵字: FinFET  新思科技  Synopsys 
相关新闻
新思科技与台积电合作 在N3制程上运用从探索到签核的一元化平台
新思科技针对台积电N5A制程技术 推出车用级IP产品组合
新思科技协助越南IC设计人才培育与发展
新思科技利用全端大数据分析 扩充Synopsys.ai电子设计自动化套件
新思科技针对台积电3奈米制程 运用广泛IP产品组合加速先进晶片设计
comments powered by Disqus
相关讨论
  相关文章
» 开启边缘智能新时代 ST引领AI开发潮流
» ST以MCU创新应用技术潮流 打造多元解决方案
» ST开启再生能源革命 携手自然迎接能源挑战
» ST引领智慧出行革命 技术创新开启汽车新纪元
» ST:精准度只是标配 感测器需执行简单运算的智慧功能


刊登廣告 新聞信箱 读者信箱 著作權聲明 隱私權聲明 本站介紹

Copyright ©1999-2024 远播信息股份有限公司版权所有 Powered by O3  v3.20.1.HK84O56J8DESTACUKS
地址:台北数位产业园区(digiBlock Taipei) 103台北市大同区承德路三段287-2号A栋204室
电话 (02)2585-5526 #0 转接至总机 /  E-Mail: webmaster@ctimes.com.tw