账号:
密码:
CTIMES / 新思科技
科技
典故
网络协议 - SOAP

SOAP的全名为Simple Object Access Protocol(简易对象通讯协议),是一种以XML为基础的通讯协议,其作用是编译网络服务所需的要求或响应后,再将编译后的讯息送出到网络,简单来说就是应用程序和用户之间传输数据的一种机制。
新思科技利用全新RISC-V系列产品扩展旗下ARC处理器IP产品组合 (2023.11.08)
新思科技宣布扩大旗下ARC处理器 IP的产品组合,内容包括全新的RISC-V ARC-V处理器IP,让客户可以从各式各样具备弹性与扩展性的处理器选项中进行选择,为他们的目标应用提供理想的功耗-效能(power-performance)效率
新思科技与台积电合作 在N3制程上运用从探索到签核的一元化平台 (2023.11.02)
新思科技近日宣布扩大与台积公司的合作,并利用支援最新3Dblox 2.0标准和台积公司3DFabric技术的全面性解决方案,加速多晶粒系统设计。新思科技多晶粒系统解决方案包括3DIC Compiler,这是一个从探索到签核一元化的平台,可以为产能与效能提供最高等级的设计效率
新思科技针对台积电N5A制程技术 推出车用级IP产品组合 (2023.10.17)
新思科技宣布针对台积公司的N5A制程,推出业界范围最广的车用级介面与基础IP产品组合。新思科技与台积公司携手达成车用SoC长期运作的可靠性与高效能运算要求,协助带动次世代以软体定义车辆的产业发展
新思科技协助越南IC设计人才培育与发展 (2023.09.19)
新思科技宣布与越南的计画投资部(Ministry of Planning and Investment; MPI)辖下的国家创新中心(National Innovation Center, NIC)合作,透过新思科技对NIC成立晶片设计育成中心的支持,协助越南先进IC设计人才培育与发展
新思科技利用全端大数据分析 扩充Synopsys.ai电子设计自动化套件 (2023.09.14)
新思科技宣布扩充旗下Synopsys.ai全端(full-stack)电子设计自动化(EDA)套件,针对积体电路(IC)晶片开发的每个阶段,提供全面性、以人工智慧(AI)驱动的资料分析。新思科技的EDA资料分析解决方案,在半导体业界相关领域中,是首见可提供AI驱动的见解与优化,以提升探索、设计、制造与测试流程的产品
新思科技针对台积电3奈米制程 运用广泛IP产品组合加速先进晶片设计 (2023.07.27)
新思科技针对台积公司的N3E制程,利用业界最广泛的介面 IP产品组合,推动先进晶片设计全新潮流。横跨最为广泛使用的协定,新思科技IP产品组合在多个产品线的矽晶设计,提供领先业界的功耗、效能与面积(PPA)以及低延迟
新思科技与台积电合作 优化EDA流程加快台积电N2制程设计 (2023.05.11)
为不断满足新一代系统单晶片(SoC) 的严格设计目标,新思科技与台积公司合作,在台积公司最先进的 N2 制程中提供数位与客制化设计 EDA 流程。相较於N3E 制程,台积公司N2 制程采用奈米片(nanosheet)电晶体结构,在相同功耗下可提升速度达 15% ,或在相同速度下可减少30%的功率,同时还能提高晶片密度
新思科技AI驱动套件Synopsys.ai问世 涵盖全面设计验证流程 (2023.04.17)
新思科技於矽谷举行的年度使用者大会(Synopsys Users Group ,SNUG)中发表 Synopsys.ai,此乃全面性涵盖设计、验证、测试和制造等流程之最先进数位和类比晶片的AI驱动解决方案
新思联合安矽思与是德 针对台积电制程加速5G/6G SoC设计 (2022.11.08)
为满足 5G/6G系统单晶片(SoC)对效能和功耗的严格要求,新思科技、安矽思科技与是德科技宣布推出用於台积公司 16 奈米FinFET精简型(16FFC) 技术的全新毫米波(mmWave)射频 (RF)设计流程
新思提供EDA流程与广泛IP组合提升台积电N3E制程设计 (2022.11.04)
基於与台积公司长期合作,推动先进制程节点的持续创新,新思科技宣布针对台积公司 N3E 制程技术的多项关键成果,新思科技的数位与客制化设计流程已获得台积公司N3E 制程的认证
用於自由曲面设计的五大CODE V工具 (2022.07.29)
本文说明在进行自由曲面光学设计时,CODE V当中可以帮助完成设计最隹化和分析的五大工具。
是德携手新思支援台积电N6RF设计叁考流程 满足射频IC需求 (2022.06.23)
是德科技(Keysight Technologies Inc.)日前宣布其Keysight PathWave RFPro与新思科技(Synopsys)Custom Compiler设计环境整合,可支援台积电(TSMC)最新的N6RF设计叁考流程。 对於积体电路(IC)设计人员来说,EDA工具和设计方法至关重要
新思针对台积电N6RF制程 推出最新RF设计流程 (2022.06.23)
因应日益复杂的RFIC设计要求,新思科技(Synopsys)宣布针对台积公司N6RF制程推出最新的RF设计流程,此乃新思科技与安矽斯科技(Ansys)和是德科技(Keysight)共同开发的最先进RF CMOS技术,可大幅提升效能与功耗效率
新思推出ML导向大数据分析技术 开启智慧SoC设计时代 (2022.06.02)
新思科技宣布推出「Synopsys DesignDash」设计优化解决方案,此乃新思科技EDA 资料分析产品组合的重大扩展,该解决方案透过机器学习技术,利用先前尚未发掘的设计见解(design insights)来提升设计生产力
新思Fusion Compiler协助客户实现超过500次投片 (2021.12.07)
新思科技宣布其旗舰产品Fusion Compiler RTL至GDSII解决方案自 2019推出以来,已协助用户累积超过500次投片,此项成就扩展了新思科技在数位设计实作领域的地位。使用 Fusion Compiler进行设计投片的客户涵盖领先业界的半导体公司40至3奈米制程节点,横跨高效能运算(high-performance computing; HPC)、人工智慧(AI)与第五代行动通讯等高成长的垂直市场
台湾新思科技获颁经济部「创新应用伙伴奖」 (2021.11.29)
台湾新思科技 (Synopsys Taiwan)近日获经济部 (Ministry of Economic Affairs) 颁发「电子资讯国际伙伴绩优厂商 - 创新应用伙伴奖」,以表扬新思科技配合政府推动人工智慧、物联网等产业合作,协助台湾产业不断创新,对促进台湾的产业发展具有卓越贡献
国研院携手新思科技 打造下世代半导体制程研发环境 (2021.11.25)
国家实验研究院台湾半导体研究中心(国研院半导体中心)与新思科技(Synopsys)日前签订合约,引进该公司Sentaurus TCAD与Quantum ATK模拟工具,提供台湾学术界免费使用,让台湾学术界享有与产业界同步的半导体制程研发环境,以加速开发下世代关键半导体制程技术,并培育优质人才
新思扩大与台积电策略合作 扩展3D系统整合解决方案 (2021.11.05)
新思科技宣布扩大与台积公司的策略技术合作以实现更好的系统整合,并因应高效能运算(high-performance computing,HPC) 应用所要求的效能、功耗和面积目标。透过新思科技的3DIC Compiler平台,客户能有效率地取得以台积公司3DFabric为基础的设计方法,从而大幅提升高容量的3D系统设计
SLM晶片生命周期管理平台 形塑半导体智慧制造新层次 (2021.07.26)
矽生命周期管理平台(SLM)以资料分析导向为方法,从初期设计阶段到终端用户布署进行SoC的最佳化,并且在各项运作中达到效能、功耗、可靠性和安全性的最佳化等。
新思与台积电及微软合作 在云端环境提供可扩展时序签核流程 (2020.06.29)
新思科技(Synopsys)宣布与台积电(TSMC)和微软(Microsoft)合作完成用於云端环境、具备开创性与高度可扩展性的时序签核流程(timing signoff flow)。这项长达数个月、集合三方合作夥伴的大规模合作案,有效加速新一代系统单晶片(SoCs)的签核路径(path)

  十大热门新闻
1 新思科技与台积电合作 优化EDA流程加快台积电N2制程设计
2 新思科技与台积电合作 在N3制程上运用从探索到签核的一元化平台
3 新思科技利用全端大数据分析 扩充Synopsys.ai电子设计自动化套件
4 新思科技针对台积电3奈米制程 运用广泛IP产品组合加速先进晶片设计
5 新思科技针对台积电N5A制程技术 推出车用级IP产品组合
6 新思科技协助越南IC设计人才培育与发展

AD

刊登廣告 新聞信箱 读者信箱 著作權聲明 隱私權聲明 本站介紹

Copyright ©1999-2024 远播信息股份有限公司版权所有 Powered by O3
地址:台北市中山北路三段29号11楼 / 电话 (02)2585-5526 / E-Mail: webmaster@ctimes.com.tw