帳號:
密碼:
最新動態
產業快訊
CTIMES/SmartAuto / 新聞 /
新思客製化設計 縮短FinFET設計流程
 

【CTIMES/SmartAuto 王岫晨 報導】   2016年04月19日 星期二

瀏覽人次:【6441】

新思科技(Synopsys)發表客製化設計解決方案Custom Compiler,可有效應用在FinFET製程技術,讓客製化設計流程從數天縮短至數小時以提高產能。

新思科技全新自動化視覺輔助 技術,讓FinFET佈局的產能更上層樓
新思科技全新自動化視覺輔助 技術,讓FinFET佈局的產能更上層樓

新思科技以全新自動化視覺輔助(visually-assisted automation) 技術因應客製化設計的挑戰,不但能加速設計流程,同時能減少反覆設計並增加重複利用率為了,讓FinFET佈局(layout)的產能更上層樓。而藉由與業界領先的客戶緊密合作,全新開發的Custom Compiler目前已能運用於晶圓領導廠商的最先進製程節點量產,並支援FinFET製程技術。

新思科技執行副總裁暨設計事業群總經理Antun Domic表示:「隨著SoC設計複雜度與日俱增,現有的客製化設計工具已不敷使用,更徨論要因應FinFET製程複雜的設計規則所帶來的挑戰;Custom Compiler創新的輔助工具將能協助設計人員應付FinFET設計中困難的佈局挑戰,同時大幅提升產能。」

Custom Compiler輔助(Custom Compiler Assistant)是一套有助於提升產能的工具,運用佈局設計人員所熟悉的圖像使用模式(graphical use model),以減少編寫複雜程式碼及限制條件(constraints)。藉由Custom Compiler,無須額外設定便能自動執行例行性及重複性的任務;以下是Custom Complier提供的四種輔助工具:佈局(Layout)輔助、設計中(In-Design)輔助、範本(Template)輔助以及協同設計(Co-Design)輔助。

新思科技執行副總裁暨解決方案事業群總經理Joachim Kunkel說,新思科技的團隊在晶圓製造開發初期便已經接觸到FinFET相關的設計挑戰。我們看到從標準單元(standard cell) 到高效能SerDes等各式IP開發計畫都需大量投入心力於佈局規劃,於是要求Custom Compiler開發團隊專注於改善FinFET佈局的產能。Custom Compiler的佈線輔助功能,讓我們能實際執行新穎的佈局方法論,將許多佈局任務的執行時間從數天縮短至數小時。

關鍵字: FinFET  新思科技  Synopsys 
相關新聞
新思科技與台積電合作 在N3製程上運用從探索到簽核的一元化平台
新思科技針對台積電N5A製程技術 推出車用級IP產品組合
新思科技在越南成立IC設計人才中心 培育在地半導體發展
新思科技利用全端大數據分析 擴充Synopsys.ai電子設計自動化套件
新思科技針對台積電3奈米製程 運用廣泛IP產品組合加速先進晶片設計
comments powered by Disqus
相關討論
  相關文章
» 開啟邊緣智能新時代 ST引領AI開發潮流
» ST以MCU創新應用潮流 打造多元解決方案
» ST開啟再生能源革命 攜手自然迎接能源挑戰
» ST引領智慧出行革命 技術創新開啟汽車新紀元
» ST:精準度只是標配 感測器需執行簡單運算的智慧功能


刊登廣告 新聞信箱 讀者信箱 著作權聲明 隱私權聲明 本站介紹

Copyright ©1999-2024 遠播資訊股份有限公司版權所有 Powered by O3  v3.20.2048.3.133.159.224
地址:台北數位產業園區(digiBlock Taipei) 103台北市大同區承德路三段287-2號A棟204室
電話 (02)2585-5526 #0 轉接至總機 /  E-Mail: webmaster@ctimes.com.tw