帳號:
密碼:
相關物件共 316
(您查閱第 9 頁資料, 超過您的權限, 請免費註冊成為會員後, 才能使用!)
AI賦能智慧製造轉型 (2024.06.13)
台灣中小規模的傳產製造、機械設備業陸續推行製造服務化、工業4.0、數位轉型等;未來應逐步建構數位分身,預先於實地量產前模擬加工,藉以提升良率,並減少因廢品而增加排碳
生成式AI刺激應用創新 帶動軟硬體新商機 (2024.06.13)
2024年台灣五大行業有近兩成比例,有意願或相關行動導入生成式AI,而AI的不同應用發展,正改變著企業的流程、產品創新、商業模式與生態。本文綜觀AI產業,就不同面向探討產業動態與分析市場供需變化
台灣AI關鍵元件的發展現況與佈局 (2024.06.13)
就人工智慧(AI)裝置的硬體來看,關鍵的零組件共有四大塊,分別是邏輯運算、記憶體、PCB板、以及散熱元件。他們扮演著建構穩定運算處理的要角,更是使用者體驗能否優化的重要輔助
AI帶來的產業變革與趨勢 (2024.06.13)
隨著2025年AI PC軟硬整合更完備,將成為推動產業復甦的關鍵動力;AI伺服器受惠於生成式AI大型語言模型、企業內部模型微調等因素導致需求持續上升,成為2024年伺服器市場的主要驅動力
產學醫專家共議AI醫療未來 健康照護匯聚創新能量 (2024.06.12)
隨著醫療產業數位化熱潮,「2024年台灣國際醫療暨健康照護展(Medical Taiwan)」將於6 月 20~22 日於台北南港展覽 2 館登場。今(12)日舉行展前記者會,主辦單位外貿協會聚集產、學、醫界菁英共同探討智慧醫療發展趨勢,並率先展示參展企業的最新精準醫療與智慧醫療產品
[COMPUTEX] Synaptics以感測﹑感知及無線連接為展示重點 (2024.06.06)
Synaptics於台北國際電腦展COMPUTEX 2024展示具有嵌入式處理器的多元產品,例如Astra AI原生物聯網平台提供當前產業AI需求的架構、擴充性與靈活性,得以解決功耗隱私與延遲等問題
2024.6(第391)期)AI PC -迎接電腦產業新典範 (2024.06.06)
生成式應用當道,未來所有的內容創作,都將跟AI密不可分, 只有雲端平台的服務是遠遠不夠,在終端與邊緣的枝開葉散, 才是生成式AI應用的最終願景。 對創作者來說
【COMPUTEX】台達「解密Cloud to Edge AI」展出電源散熱基礎方案 (2024.06.05)
台達今(5)日於台北國際電腦展(COMPUTEX TAIPEI 2024)聚焦AI人工智慧,以「解密 Cloud to Edge AI」為主題,全方位展出涵蓋雲端到邊緣的資料中心基礎設施方案,以及應用於AI運算及終端設備的高效電源、散熱、被動元件等領先技術,包含多款首次亮相的AI伺服器電源及液冷散熱方案、領先全球的晶片垂直供電技術等,持續驅動AI產業發展
2024.6(第391)期)AI PC -迎接電腦產業新典範 (2024.06.05)
生成式應用當道,未來所有的內容創作,都將跟AI密不可分, 只有雲端平台的服務是遠遠不夠,在終端與邊緣的枝開葉散, 才是生成式AI應用的最終願景。 對創作者來說
【COMPUTEX】明基佳世達聚焦Smart+ AI Now 整合助攻客戶接軌AI世代 (2024.06.04)
明基佳世達集團今年COMPUTEX以「Smart+ AI Now」主軸,透過AI科技為各產業賦能,加速場域應用系統整合創新,以綠色展位升級全面展示跨餐飲、教育、企業、交通、製造、網通、娛樂生活等7大領域AI智慧解決方案,更彙集超過20場AI應用講座,助攻客戶接軌AI應用新世代
[COMPUTEX] AI好熱!散熱技術跟著改朝換代 (2024.06.04)
算力大爆發的年代,除了電力需求節節高升之外,熱流也跟著扶搖直上,逼著散熱技術也要跟著算力一起成長。今年COMPUTEX展場上,散熱解決方案成為看展者的焦點,甚至搶過AI晶片的鋒頭
AMD:強化AI算力 持續推動下一代高效能PC (2024.06.03)
本屆台北國際電腦展(COMPUTEX 2024)集結全球1,500家科技產業菁英參展,使用4,500個攤位,吸引50,000名海內外買主參與,規模更勝以往。COMPUTEX Keynote以「AI串聯、共創未來(Connecting AI)」為主軸,涵蓋人工智慧運算、前瞻通訊、未來移動、沉浸現實、綠能永續及創新等主題,AMD董事長暨執行長蘇姿丰博士發表首場主題演講,為活動揭開序幕
安勤為自主機器智能打造新款 AI 工業電腦 (2024.05.31)
安勤科技推出一款專為自主機器智能設計的嵌入式 AI 工業電腦AIB-NVAO,整合 AI 高運算能力、豐富的擴充介面及工業級的耐用性,適用於各種產業,涵蓋汽車、智慧物流、農業及智慧製造等領域
一美元的TinyML感測器開發板 (2024.05.31)
AI似乎有極大化與極小化的兩線發展,小型化發展即是以AIoT為起點開始衍生出Edge AI、TinyML等,特別是TinyML,必須在有限的運算力、電力、成本、體積下實現AI,極具工程精進挑戰
宜鼎全面擴充邊緣AI智慧應用與智慧儲存 (2024.05.30)
●首度揭示Architect Intelligence全新品牌主張,展現Innodisk AI策略佈局 ●AI人流追蹤、空氣品質管理、智慧製造解決方案,全面加速產業應用邊緣AI落地 ●旗下首款工控級CXL記憶體、16TB大容量系列SSD
先進AI視覺系統—以iToF解鎖3D立體空間 (2024.05.29)
在整個AI產業中,視覺系統扮演極重要的角色。由於iToF對於距離與空間的重現具有高度的可靠度外,還有解析度的優勢。本文敘述iToF感測和技術的原理、組成元件、距離計算方式及成像技術的應用
AI PC華麗登場 引領算力為王的時代 (2024.05.28)
AI PC的普及需要軟硬體共同發展,在處理器、記憶體和作業系統等技術的進步,AI PC應用將更加豐富,並成為未來PC產業的重要趨勢。
AI世代的記憶體 (2024.05.28)
AI運算是專門處理AI應用的一個運算技術,是有很具體要解決的一個目標,而其對象就是要處理深度學習這個演算法,而深度學習跟神經網路有密切的連結,因為它要做的事情,就是資料的辨識
從AI PC崛起看處理器大廠產品策略佈局 (2024.05.28)
處理器大廠正在AI PC投注大量資源,提供獨特的解決方案。 NPU和AI加速晶片可以大幅提升AI PC的運算效率和速度。 GPU的平行運算能力和高輸送特性,也將成為AI任務的理想選擇
FPGA開啟下一個AI應用創新時代 (2024.05.27)
邊緣應用藉由微型化,加強AI算力,能支持對功耗和熱高要求的應用。 FPGA高度靈活、低功耗、高性能的特性,使其成為AI應用的理想選擇。 根據不同的需求配置,迅速適應新算法,為嵌入式視覺領域提供強大支持


     [1]  2  3  4  5  6  7  8  9  10   [下一頁][下10頁][最後一頁]

  十大熱門新聞
1 愛德萬測試發表V93000 EXA Scale SoC測試系統超高電流電源供應板卡
2 LitePoint攜手三星電子進展 FiRa 2.0新版安全測距測試用例
3 [COMPUTEX] 慧榮全新USB顯示單晶片 搶攻多螢與超高解析擴充市場
4 [COMPUTEX] Supermicro機櫃級隨插即用液冷AI SuperCluster支援NVIDIA Blackwell
5 Microchip全新車載充電器解決方案 支援車輛關鍵應用
6 安勤為自主機器智能打造新款 AI 工業電腦
7 COMPUTEX 2024:麗臺科技高階WinFast Mini AI工作站全球首次亮相
8 群聯電子推出全新企業級SSD品牌PASCARI及高階X200 SSD
9 凌華科技ARM開放式架構觸控電腦正式上市
10 貿澤即日起供貨Renesas搭載RISC-V CPU核心的32位元MCU

刊登廣告 新聞信箱 讀者信箱 著作權聲明 隱私權聲明 本站介紹

Copyright ©1999-2024 遠播資訊股份有限公司版權所有 Powered by O3
地址:台北市中山北路三段29號11樓 / 電話 (02)2585-5526 / E-Mail: webmaster@ctimes.com.tw