账号:
密码:
鯧뎅꿥ꆱ藥 54
ꢂ鿦薘곧€扡彣‱ꇩ낕跦Ⲯ薶뿨ꢂ髧莝駩Ⲑ랯藥릴돦貆裦몸볤频郥Ⲏ趉菨뾽铧↨
系统技术协同优化 突破晶片系统的微缩瓶颈 (2023.06.25)
本文内容说明系统技术协同优化(STCO)如何辅助设计技术协同优化(DTCO)来面对这些设计需求。
杜邦推出锡银电镀浴系列新品--SOLDERON BP TS 7000 (2023.05.31)
半导体晶片体积更小、功能更强大的趋势推动封装应用的变化,包括 2.5D 和 3D晶片封装。杜邦电子(DuPont Electronics & Industrial)推出SOLDERON BP TS 7000,这是在晶圆凸块应用锡银电镀化学领域的最新成品
台积电北美技术论坛揭示全新技术发展 (2023.04.27)
台积电於今日(美国当地时间为26日)在美国加州圣塔克拉拉市举举办2023年北美技术论坛,会中揭示其最新技术发展,包括2奈米技术进展及先进的3奈米技术家族新成员,以提供广泛的技术组合满足客户多样化的需求
IEKCQM:2023年制造业需谨慎前行 半导体产业未来韧性布局 (2022.11.29)
工研院今(29)日举办「2023年台湾制造业暨半导体产业景气展??记者会」,发布2023年台湾制造业及半导体景气展??预测结果。工研院向下修正2022年制造业产值为新台币25.49兆元,年增率4.76%
工研院估2023年台湾IC产值破5兆 受惠於3nm量产、创新应用与永续驱动 (2022.11.07)
受到近年来遭受国际地缘政治冲突造成俄乌战火、通膨及中国大陆封控等因素,影响全球总体经济,冲击今(2022)年PC、智慧型手机等终端电子产品市场消费需求被大幅抑制,年成长仅约4%
英特尔:晶片制造需满足世界对於运算的需求 (2022.08.23)
英特尔在Hot Chips 34当中,强调实现2.5D和3D晶片块(tile)设计所需的最新架构和封装创新,将引领晶片制造的新时代,并在未来数年内推动摩尔定律的发展。英特尔执行长Pat Gelsinger(基辛格)向全球分享持续不断追求更强大运算能力的历程
摩尔定律碰壁 成本为选择先进封装制程的关键考量 (2022.07.29)
本场东西讲座除了深度剖析晶片封装技术趋势与对策之外,更与亲赴现场的开发业者广泛交流,共同讨论前景与挑战。
AMD推动新成长策略 瞄准高效能与自行调适运算解决方案市场 (2022.06.14)
AMD在财务分析师大会上,概述推动新一阶段成长的策略,着手扩展高效能与自行调适运算产品阵容,涵盖资料中心、嵌入式、客户端以及游戏市场。 AMD董事长暨执行长苏姿丰博士表示,从云端与PC到通讯与智慧终端,AMD的高效能和自行调适运算解决方案扮演着越来越重要的角色,塑造几??所有服务和产品的功能,定义着未来运算的面貌
AMD第3代EPYC处理器 为技术运算工作负载??注效能 (2022.03.22)
AMD推出全球首款采用3D晶片堆叠技术(3D die stacking)的资料中心CPU━代号为Milan-X、采用AMD 3D V-Cache技术的AMD第3代EPYC处理器。全新处理器基於Zen 3核心架构,进一步扩大了第3代EPYC CPU产品阵容,与没有采用堆叠技术的AMD第3代EPYC处理器相比,可以为各种目标技术运算工作负载提供高达66%的效能提升
Cadence与台积电紧密合作3D-IC发展 加速多晶片创新 (2021.11.08)
Cadence Design Systems, Inc.宣布正与台积电紧密合作加速 3D-IC 多晶片设计创新。作为合作的一部分,Cadence Integrity 3D-IC 平台是业界第一个用于 3D-IC 设计规划、设计实现和系统分析的完整统一平台,支持台积电 3DFabric 技术,即台积电的 3D 矽堆叠和先进封装的系列技术
新思扩大与台积电策略合作 扩展3D系统整合解决方案 (2021.11.05)
新思科技宣布扩大与台积公司的策略技术合作以实现更好的系统整合,并因应高效能运算(high-performance computing,HPC) 应用所要求的效能、功耗和面积目标。透过新思科技的3DIC Compiler平台,客户能有效率地取得以台积公司3DFabric为基础的设计方法,从而大幅提升高容量的3D系统设计
工研院与英国牛津仪器合作 推进半导体先进量测 (2021.11.02)
经济部技术处,协同英国在台办事处,共同促成工研院与英国牛津仪器(Oxford Instruments)合作,签属前瞻半导体量测技术联合实验室合作备忘录,规划整合工研院与牛津仪器的共同研发能量,布局下世代半导体检测实力
Chiplet小晶片将考验IC设计的跨领域整合能力 (2021.05.09)
「Chiplet」这个名词第一次出现的时候,对於我们这些非英语系的国家来说,实在有点摸不着边际,不仅是因为从没见过这个英文单字,更是因为这样的晶片设计概念也没在脑海里存在过,所以一片雾蒙蒙的,很难把它真的放在心上
Ansys多物理场解决方案通过台积电3D-IC封装技术认证 (2020.08.31)
Ansys先进半导体设计解决方案通过台积电(TSMC)高速CoWoS-S (CoWoS with silicon interposer)和InFO-R(InFO with RDL interconnect)先进封装技术认证。这让客户针对整套整合2.5D和3D晶片系统,签核耗电、讯号完整性和分析热效应冲击,确认其可靠度
为什麽台积的4奈米和3D IC整合服务是亮点? (2020.08.30)
受到新冠肺炎(COVID-19)疫情的影响,台积(TSMC)技术论坛和开放创新平台(Open Innovation Platform)生态系统论坛,今年也首次转为线上的形式。虽说是开放创新,其实台积的论坛都是属於半封闭式,是必须要有邀情函才能够注册叁加
KLA:实现高良率异构封装组装 将需更多检测和量测步骤 (2020.07.27)
5G、IoT、人工智能和自动驾驶等市场持续增长,其动力是不断提升的半导体含量。CTIMES特地专访了KLA ICOS部门总经理Pieter Vandewalle,以及KLA营销高级总监Stephen Hiebert,来为读者厘清先进封装测试设备的技术需求与市场挑战
Ansys RaptorH获三星晶圆代工2.5D/3D积体电路和系统电磁效应认证 (2020.05.11)
Ansys RaptorH电磁(electromagnetic;EM)模拟解决方案已获三星晶圆代工(Samsung Foundry)先进系统单晶片(Systems-on-chip;SoC)以及2.5D/3D积体电路(2.5D/3D-IC)的开发认证。该认证能让Ansys帮助三星设计师和三星晶圆代工客户
ANSYS受台积电肯定 获颁两项年度夥伴奖 (2019.11.18)
ANSYS於台积电2019开放创新平台(Open Innovation Platform,OIP)生态系统论坛荣获两项年度夥伴奖。针对台积电领先业界的FinFET制程和3D晶片(3D-IC)封装技术,ANSYS提供多物理场模拟解决方案,可以支援客户加速开发人工智慧(AI)、5G、行动、高效能运算(High-Performance Computing,HPC)和车载应用的进程
异质整合 揭橥半导体未来20年产业蓝图 (2019.10.09)
晶片的设计和制造来到一个新的转折。于是,异质整合的概念,就砰然降临到了半导体的舞台上。它是驱动半导体未来20~30年最重要的发展趋势。
EVG:人工智慧将带动各种异质系统的整合需求 (2019.10.02)
异质整合已成为我们产业的关键议题。进一步微缩元件节点是改善元件效能的必要手段,然而开发与投产新设计的成本也越来越昂贵。此外,在系统单晶片(SoC)上对个别建构模块(building block)进行微缩时,所产生的影响差异甚大


     [1]  2  3   [下一頁]

  跥Ꞥ菧ꢗ雦뮗
1 u-blox新推两款精巧型模组内建最新Nordic蓝牙晶片
2 Western Digital全新极速8TB桌上型SSD释放数位创作无限可能
3 安勤专为工业和通信领域推出ECM-ASL 3.5寸嵌入式单板电脑
4 凌华搭载Intel Amston-Lake模组化电脑适用於强固型边缘解决方案
5 Microchip安全触控萤幕控制器系列新品提供加密验证和资料加密功能
6 凌华科技新款显示卡搭载Intel Arc A380E GPU
7 Littelfuse单芯超级电容器保护积体电路用於增强型备用电源解决方案
8 ST高成本效益无线连接晶片 让eUSB配件、装置和工控设备摆脱电线羁绊
9 贸泽即日起供货Microchip PIC32CZ CA微控制器
10 Microchip作动电源整合方案协助航空业向电力飞机转型

AD

刊登廣告 新聞信箱 读者信箱 著作權聲明 隱私權聲明 本站介紹

Copyright ©1999-2024 远播信息股份有限公司版权所有 Powered by O3
地址:台北市中山北路三段29号11楼 / 电话 (02)2585-5526 / E-Mail: webmaster@ctimes.com.tw