帳號:
密碼:
相關物件共 54
(您查閱第 頁資料, 超過您的權限, 請免費註冊成為會員後, 才能使用!)
系統技術協同優化 突破晶片系統的微縮瓶頸 (2023.06.25)
本文內容說明系統技術協同優化(STCO)如何輔助設計技術協同優化(DTCO)來面對這些設計需求。
DigiKey於2023年EDS領袖高峰會獲得供應商高度認可 (2023.05.31)
DigiKey 宣布在5月16~19日於美國拉斯維加斯舉辦的2023年EDS領袖高峰會中,榮獲供應商夥伴頒發 17 個獎項肯定。 DigiKey 因過去一年的銷售成果、產品豐富性等原因而獲得認可
台積電北美技術論壇揭示技術發展 公布2奈米進展與3奈米新成員 (2023.04.27)
台積電於今日(美國當地時間為26日)在美國加州聖塔克拉拉市舉舉辦2023年北美技術論壇,會中揭示其最新技術發展,包括2奈米技術進展及先進的3奈米技術家族新成員,以提供廣泛的技術組合滿足客戶多樣化的需求
IEKCQM:2023年製造業需謹慎前行 半導體產值近五兆台幣 (2022.11.29)
工研院今(29)日舉辦「2023年臺灣製造業暨半導體產業景氣展望記者會」,發布2023年臺灣製造業及半導體景氣展望預測結果。工研院向下修正2022年製造業產值為新臺幣25.49兆元,年增率4.76%
工研院估明年台灣IC產值破5兆 3nm量產受矚目 (2022.11.07)
受到近年來遭受國際地緣政治衝突造成俄烏戰火、通膨及中國大陸封控等因素,影響全球總體經濟,衝擊今(2022)年PC、智慧型手機等終端電子產品市場消費需求被大幅抑制,年成長僅約4%
英特爾:晶片製造需滿足世界對於運算的需求 (2022.08.23)
英特爾在Hot Chips 34當中,強調實現2.5D和3D晶片塊(tile)設計所需的最新架構和封裝創新,將引領晶片製造的新時代,並在未來數年內推動摩爾定律的發展。英特爾執行長Pat Gelsinger(基辛格)向全球分享持續不斷追求更強大運算能力的歷程
摩爾定律碰壁 成本為選擇先進封裝製程的關鍵考量 (2022.07.29)
本場東西講座除了深度剖析晶片封裝技術趨勢與對策之外,更與親赴現場的開發業者廣泛交流,共同討論前景與挑戰。
AMD推動新成長策略 瞄準高效能與自行調適運算解決方案市場 (2022.06.14)
AMD在財務分析師大會上,概述推動新一階段成長的策略,著手擴展高效能與自行調適運算產品陣容,涵蓋資料中心、嵌入式、客戶端以及遊戲市場。 AMD董事長暨執行長蘇姿丰博士表示,從雲端與PC到通訊與智慧終端,AMD的高效能和自行調適運算解決方案扮演著越來越重要的角色,塑造幾乎所有服務和產品的功能,定義著未來運算的面貌
AMD第3代EPYC處理器 為技術運算工作負載挹注效能 (2022.03.22)
AMD推出全球首款採用3D晶片堆疊技術(3D die stacking)的資料中心CPU─代號為Milan-X、採用AMD 3D V-Cache技術的AMD第3代EPYC處理器。全新處理器基於Zen 3核心架構,進一步擴大了第3代EPYC CPU產品陣容,與沒有採用堆疊技術的AMD第3代EPYC處理器相比,可以為各種目標技術運算工作負載提供高達66%的效能提升
Cadence與台積電緊密合作3D-IC發展 加速多晶片創新 (2021.11.08)
Cadence Design Systems, Inc.宣布正與台積電緊密合作加速 3D-IC 多晶片設計創新。作為合作的一部分,Cadence Integrity 3D-IC 平台是業界第一個用於 3D-IC 設計規劃、設計實現和系統分析的完整統一平台,支持台積電 3DFabric 技術,即台積電的 3D 矽堆疊和先進封裝的系列技術
新思擴大與台積電策略合作 擴展3D系統整合解決方案 (2021.11.05)
新思科技宣佈擴大與台積公司的策略技術合作以實現更好的系統整合,並因應高效能運算(high-performance computing,HPC) 應用所要求的效能、功耗和面積目標。透過新思科技的3DIC Compiler平台,客戶能有效率地取得以台積公司3DFabric為基礎的設計方法,從而大幅提升高容量的3D系統設計
工研院與英國牛津儀器合作 推進半導體先進量測 (2021.11.02)
經濟部技術處,協同英國在臺辦事處,共同促成工研院與英國牛津儀器(Oxford Instruments)合作,簽屬前瞻半導體量測技術聯合實驗室合作備忘錄,規劃整合工研院與牛津儀器的共同研發能量,布局下世代半導體檢測實力
Chiplet小晶片將考驗IC設計的跨領域整合能力 (2021.05.09)
「Chiplet」這個名詞第一次出現的時候,對於我們這些非英語系的國家來說,實在有點摸不著邊際,不僅是因為從沒見過這個英文單字,更是因為這樣的晶片設計概念也沒在腦海裡存在過,所以一片霧矇矇的,很難把它真的放在心上
Ansys多物理場解決方案 通過台積電3D IC封裝技術認證 (2020.08.31)
Ansys先進半導體設計解決方案通過台積電(TSMC)高速CoWoS-S (CoWoS with silicon interposer)和InFO-R(InFO with RDL interconnect)先進封裝技術認證。這讓客戶針對整套整合2.5D和3D晶片系統,簽核耗電、訊號完整性和分析熱效應衝擊,確認其可靠度
為什麼台積的4奈米和3D IC整合服務是亮點? (2020.08.30)
受到新冠肺炎(COVID-19)疫情的影響,台積(TSMC)技術論壇和開放創新平台(Open Innovation Platform)生態系統論壇,今年也首次轉為線上的形式。雖說是開放創新,其實台積的論壇都是屬於半封閉式,是必須要有邀情函才能夠註冊參加
KLA:實現高良率異構封裝組裝 將需更多檢測和量測步驟 (2020.07.27)
5G、IoT、人工智能和自動駕駛等市場持續增長,其動力是不斷提升的半導體含量。CTIMES特地專訪了KLA ICOS部門總經理Pieter Vandewalle,以及KLA營銷高級總監Stephen Hiebert,來為讀者釐清先進封裝測試設備的技術需求與市場挑戰
Ansys RaptorH獲三星2.5D/3D IC與系統電磁效應認證 (2020.05.11)
Ansys RaptorH電磁(electromagnetic;EM)模擬解決方案已獲三星晶圓代工(Samsung Foundry)先進系統單晶片(Systems-on-chip;SoC)以及2.5D/3D積體電路(2.5D/3D-IC)的開發認證。該認證能讓Ansys幫助三星設計師和三星晶圓代工客戶
ANSYS受台積電肯定 獲頒兩項年度夥伴獎 (2019.11.18)
ANSYS於台積電2019開放創新平台(Open Innovation Platform,OIP)生態系統論壇榮獲兩項年度夥伴獎。針對台積電領先業界的FinFET製程和3D晶片(3D-IC)封裝技術,ANSYS提供多物理場模擬解決方案,可以支援客戶加速開發人工智慧(AI)、5G、行動、高效能運算(High-Performance Computing,HPC)和車載應用的進程
異質整合 揭櫫半導體未來20年產業藍圖 (2019.10.09)
晶片的設計和製造來到一個新的轉折。於是,異質整合的概念,就砰然降臨到了半導體的舞台上。它是驅動半導體未來20~30年最重要的發展趨勢。
EVG:人工智慧將帶動各種異質系統的整合需求 (2019.10.02)
異質整合已成為我們產業的關鍵議題。進一步微縮元件節點是改善元件效能的必要手段,然而開發與投產新設計的成本也越來越昂貴。此外,在系統單晶片(SoC)上對個別建構模塊(building block)進行微縮時,所產生的影響差異甚大


     [1]  2  3   [下一頁]

  十大熱門新聞
1 安立知擴展Inline感測器系列 推出低頻峰值功率感測器MA24103A
2 明緯推出XLN/XLC系列:25W/40W/60W智能調光LED驅動電源
3 恩智浦新型互聯MCX W無線MCU系列適用於智慧工業和物聯網裝置
4 u-blox新推兩款精巧型模組內建最新Nordic藍牙晶片
5 Microchip推出搭配Kudelski IoT keySTREAM的ECC608 TrustMANAGER
6 安勤專為工業和通信領域推出ECM-ASL 3.5吋嵌入式單板電腦
7 igus推出輕量化ReBeL協作機器人仿生手
8 凌華搭載Intel Amston-Lake模組化電腦適用於強固型邊緣解決方案
9 ROHM新增3款6432尺寸金屬板分流電阻PMR100系列產品
10 意法半導體新款雙向電流感測放大器可提升工業和汽車應用效益

AD

刊登廣告 新聞信箱 讀者信箱 著作權聲明 隱私權聲明 本站介紹

Copyright ©1999-2024 遠播資訊股份有限公司版權所有 Powered by O3
地址:台北市中山北路三段29號11樓 / 電話 (02)2585-5526 / E-Mail: webmaster@ctimes.com.tw