账号:
密码:
CTIMES / 3d Ic
科技
典故
什么是Hypertext(超文件)?Hypertext的发展简史

所谓超文本 (hypertext)就是将各类型的信息分解成有意义的信息区块,储存在不同的节点 (node),成为一种与传统印刷媒体截然不同的叙事风格。1965年,Ted Nelson首创Hypertext一词,Andy van Dam et al则在1967年建立了Hypertext的编辑系统。
Ansys携手台积电与微软 共同提升3D IC可靠度模拟 (2023.11.20)
Ansys 今日宣布,与台积电和微软合作,验证了台积电3DFabric封装技术制造的多晶片3D-IC机械应力的联合解决方案,有助於提升先进设计的功能可靠度。 3D-IC系统通常具有较大的温度梯度,由於差分热膨胀,导致零部件之间产生强烈的机械应力
Ansys半导体模拟工具已通过联电最新堆叠晶圆先进封装技术认证 (2023.10.19)
Ansys多物理解决方案已通过联华电子的认证,可模拟其最新的3D-IC WoW堆叠技术,从而提高AI边缘运算,图形处理和无线通讯系统的能力,效率和效能。该认证使更多晶片设计人员能够使用Ansys的半导体模拟解决方案来执行多晶片联合分析,从而简化并确保成功的设计
Ansys热完整性和电源完整性解决方案通过三星多晶片封装技术认证 (2023.07.03)
Ansys宣布 Samsung Foundry 认证了 Ansys RedHawk 电源完整性和热验证平台,可用於三星的异质多晶片封装技术系列。透过三星与 Ansys 的合作,更加凸显电源和热管理对先进的并排 (2.5D) 和 3D 积体电路 (3D-IC) 系统可靠度和效能的关键重要性
是德加入台积电开放式创新平台3DFabric联盟 (2023.05.17)
是德科技(Keysight Technologies Inc.)日前宣布加入台积电(TSMC)开放式创新平台(OIP)3DFabric联盟。该联盟由台积电於近期成立,旨在加速3D积体电路(IC)生态系统的创新和完备性,并专注於推动矽晶和系统级创新的快速部署,以便使用台积电的3DFabric技术,开发下一代运算和行动应用
台大跨团域队研发AI光学检测系统 突破3D-IC高深宽比量测瓶颈 (2023.04.26)
国立台湾大学机械系陈亮嘉教授,今日带领跨域、跨国的研发团队,在国科会发表其半导体AI光学检测系统的研发成果。该方案运用深紫外(DUV)宽频光源作为光学侦测,并结合AI深度学习的技术,最小量测囗径可达 0.3 微米、深宽比可达到15,量测不确定度控制在50奈米以内,超越 SEMI 2025年官方所预测之技术需求规格
Cadence看好3D-IC大趋势 持续朝向系统自动化方案商前进 (2022.12.14)
益华电脑(Cadence Design Systems),日前在台北举行了媒体团访,由Cadence数位与签核事业群的滕晋厌(Chin-Chi Teng)博士与台湾区总经理Brian Sung亲自出席,除了分享Cadence在台湾的业务进展外,也针对未来的方案与市场布局做说明
西门子与联华电子合作开发3D IC hybrid-bonding流程 (2022.09.30)
西门子数位化工业软体近日与联华电子(UMC)合作,为联华电子的晶圆对晶圆堆叠(wafer-on-wafer)及晶片对晶圆堆叠(chip-on-wafer)技术提供新的多晶片 3D IC 规划、组装验证,以及寄生叁数萃取(PEX)工作流程
Cadence:未来晶片设计是SiP的时代 多物理模拟是关键 (2022.09.01)
益华电脑(Cadence Design System)执行长Anirudh Devgan,今日(9/1)在台湾用户大会「Cadence LIVE Taiwan」上指出,未来的晶片设计是SiP(系统级封装)的时代,尤其是在小晶片(Chiplet)和3D IC问世之後,SiP将会是未来最重要的晶片制造技术
支援3D-IC设计 Ansys成为英特尔晶圆代工服务EDA联盟创始成员 (2022.02.15)
Ansys今日宣布,成为英特尔晶圆代工服务(Intel Foundry Services;IFS)加速计画 - EDA联盟(IFS Accelerator - EDA Alliance)的创始夥伴之一,将提供同级最隹的EDA工具和模拟解决方案,支援客户创新,包括用於3D-IC设计的订制晶片
Ansys获台积电2021年度开放创新平台(OIP)合作伙伴奖 (2021.11.28)
Ansys宣布,获两项台积电(TSMC)2021年度开放创新平台(Open Integration Platform;OIP)合作伙伴奖,包括共同开发4奈米(nm)设计基础架构和共同开发3DFabric设计解决方案。 年度共同伙伴奖肯定台积电开放创新平台 (OIP) 生态系统合作伙伴在过去一年对支援新世代设计的卓越贡献
Cadence与台积电紧密合作3D-IC发展 加速多晶片创新 (2021.11.08)
Cadence Design Systems, Inc.宣布正与台积电紧密合作加速 3D-IC 多晶片设计创新。作为合作的一部分,Cadence Integrity 3D-IC 平台是业界第一个用于 3D-IC 设计规划、设计实现和系统分析的完整统一平台,支持台积电 3DFabric 技术,即台积电的 3D 矽堆叠和先进封装的系列技术
西门子与台积电深化合作 3D IC认证设计达成关键里程 (2021.11.04)
西门子数位化工业软体,日前在台积电 2021开放创新平台 (OIP) 生态系统论坛中宣布,与台积电合作带来一系列的新产品认证,双方在云端支援 IC 设计,以及台积电的全系列 3D 矽晶堆叠与先进封装技术(3Dfabric)方面,已经达成关键的里程碑
Ansys与台积电合作 防止3D-IC电子系统过热 (2021.10.28)
台积电(TSMC)和Ansys合作,针对采用TSMC 3DFabric建构的多晶片设计打造全面的热分析解决方案。该解决方案应用于模拟包含多个晶片的3D和2.5D电子系统的温度,缜密的热分析可防止这些系统因过热而故障,并提高其使用寿命的可靠性
2021电子设备创新产业应用大会 (2020.12.24)
台湾政府推动「半导体先进制造中心」、「亚洲高阶制造中心」,电子设备扮演重要角色。除了显示生产设备外,也开始发展半导体相关的生产制造设备,「化合物半导体」与「先进封装与3D IC设备」将是未来的两大发展主轴
Ansys获双项台积电年度开放创新平台合作夥伴奖 (2020.10.26)
Ansys宣布获颁双项台积电(TSMC)年度开放创新平台 (Open Integration Platform;OIP)合作夥伴奖。Ansys的多物理场模拟解决方案支援台积电世界级3奈米制程和高度复杂的三次元积体电路(3D-IC)先进封装技术,帮助共同客户加速设计智慧型手机、高效能运算、车载和物联网
东台精机联手东捷科技 展出5G电路板与先进封装设备 (2020.09.25)
高科技产业盛事国际半导体展(SEMICON Taiwan 2020)盛大登场,东台精机携手东捷科技股份有限公司联合主推应用於半导体、5G电路板制程、封装检测等高阶机种,创造吸睛人潮
Cadence IC封装叁考流程 获得台积电最新先进封装技术认证 (2020.09.16)
益华电脑(Cadence Design Systems)宣布,Cadence工具取得台积电最新 InFO 与CoWoS先进封装解决方案认证,即以RDL为基础的整合扇出型封装InFO-R,与采用矽晶中介层(Silicon Interposer)封装技术的CoWoS-S
【影片】新闻十日谈#3丨台积电的4奈米和3D IC (2020.09.10)
作为全球半导体制造技术先锋,台积电积极部署先进制程的发展蓝图,先前更於其法说会宣布4nm制程N4与3D IC堆叠技术3D Fabric的资讯,大大彰显其欲进一步推进市场主导地位的决心与行动力
Ansys多物理场解决方案通过台积电3D-IC封装技术认证 (2020.08.31)
Ansys先进半导体设计解决方案通过台积电(TSMC)高速CoWoS-S (CoWoS with silicon interposer)和InFO-R(InFO with RDL interconnect)先进封装技术认证。这让客户针对整套整合2.5D和3D晶片系统,签核耗电、讯号完整性和分析热效应冲击,确认其可靠度
为什麽台积的4奈米和3D IC整合服务是亮点? (2020.08.30)
受到新冠肺炎(COVID-19)疫情的影响,台积(TSMC)技术论坛和开放创新平台(Open Innovation Platform)生态系统论坛,今年也首次转为线上的形式。虽说是开放创新,其实台积的论坛都是属於半封闭式,是必须要有邀情函才能够注册叁加

  十大热门新闻
1 是德加入台积电开放式创新平台3DFabric联盟
2 Ansys热完整性和电源完整性解决方案通过三星多晶片封装技术认证
3 Ansys半导体模拟工具已通过联电最新堆叠晶圆先进封装技术认证
4 Ansys携手台积电与微软 共同提升3D IC可靠度模拟

AD

刊登廣告 新聞信箱 读者信箱 著作權聲明 隱私權聲明 本站介紹

Copyright ©1999-2024 远播信息股份有限公司版权所有 Powered by O3
地址:台北市中山北路三段29号11楼 / 电话 (02)2585-5526 / E-Mail: webmaster@ctimes.com.tw